EUV lithography (Bellingham, 2009). - ОГЛАВЛЕНИЕ / CONTENTS
Навигация

Архив выставки новых поступлений | Отечественные поступления | Иностранные поступления | Сиглы
ОбложкаEUV lithography / ed. by Bakshi V. - Bellingham: SPIE Press; Hoboken: Wiley-Interscience, 2009. - xxvii, 673 p.: ill. - (Press monograph; 178). - Incl. bibl. ref. - Ind.: p.665-673. - ISBN 978-0-4704-7155-5
 

Оглавление / Contents
 
Preface ...................................................... xiii
Introduction ................................................. xvii
List of Contributors .......................................... xix
List of Abbreviations ......................................... xxi

Chapter 1   EUV Lithography: An Historical Perspective .......... 1
            Hiroo Kinoshita and Obert Wood

1.1   Introduction .............................................. 1
1.2   The Early Stage of Development—1981 to 1992 ............... 3
1.3   The Second Stage of Development—1993 to 1996 ............. 10
1.4   Other Developments in Japan and Europe ................... 18
1.5   The Development of Individual Technologies ............... 20
1.6   EUVL Conferences ......................................... 40
1.7   Summary .................................................. 42
Acknowledgments ................................................ 44
References ..................................................... 46

Chapter 2   EUV LLC: An Historical Perspective ................. 55
            Chuck Gwyn and Stefan Wurm

2.1   Introduction ............................................. 56
2.2   Formation of the LLC ..................................... 59
2.3   Program Structure ........................................ 66
2.4   Program Results .......................................... 72
2.5   Retrospective Observations ............................... 79
2.6   Status of EUV Development at the End of LLC .............. 84
2.7   Summary .................................................. 85
Appendix A: Major Accomplishments of the EUV LLC Program ....... 87
Appendix B: EUV LLC Program Patents ............................ 92
Acknowledgments ................................................ 96
References ..................................................... 99

Chapter 3   EUV Source Technology ............................. 103
            Vivek Bakshi

3.1   Introduction ............................................ 104
3.2   EUV Source Requirements ................................. 106
3.3   DPP and LPP Source Technologies ......................... 109
3.4   EUV Source Performance .................................. 112
3.5   Summary and Future Outlook .............................. 126
      References .............................................. 127

Chapter 4A  Optics and Multilayer Coatings for EUVL Systems ... 133
            Regina Soufli, Saša Bajt, Russell M. Hudyma
            and John S. Taylor

4A.1  Introduction ............................................ 133
4A.2  Properties of EUVL Systems .............................. 133
      References .............................................. 134

Chapter 4B  Projection Systems for Extreme Ultraviolet
            Lithography ....................................... 135
            Russell M. Hudyma and Regina Soufli

4B.1  General EUVL Optical Design Considerations .............. 135
4B.2  EUV Microsteppers ....................................... 138
4B.3  Engineering Test Stand (ETS) ............................ 147
4B.4  Six-Mirror EUVL Projection Systems ...................... 149
Acknowledgments ............................................... 156
References .................................................... 157

Chapter 4C  Specification, Fabrication, Testing, and
            Mounting of EUVL Optical Substrates ............... 161
            John S. Taylor and Regina Soufli

4C.1  Introduction ............................................ 161
4C.2  Specification ........................................... 162
4C.3  Projection Optics ....................................... 163
4C.4  Effect of Substrate Errors on Imaging Performance ....... 164
4C.5  Low-Frequency (Figure) Errors ........................... 165
4C.6  Mid-Spatial-Frequency Errors ............................ 169
4C.7  High-Spatial-Frequency Errors ........................... 170
4C.8  Influence of Coatings on Roughness Specification ........ 171
4C.9   Calculation of Surface Errors .......................... 171
4C.10 Uniformity .............................................. 173
4C.11 Substrate Materials ..................................... 173
4C.12 Fabrication ............................................. 174
4C.13 Metrology ............................................... 176
4C.14 Mounting and Assembly ................................... 177
4C.15 Alignment ............................................... 179
4C.16 Condenser Optics ........................................ 179
Acknowledgments ............................................... 181
References .................................................... 181

Chapter 4D  Multilayer Coatings for EUVL ...................... 187
            Regina Soufli and Saša Bajt

4D.1  Overview and History of EUV Multilayer Coatings ......... 187
4D.2  Choice of ML Materials and Wavelength Considerations .... 188
4D.3  Multilayer Deposition Technologies ...................... 189
4D.4  Theoretical Design ...................................... 190
4D.5  High Reflectivity, Low Stress, and Thermal Stability
      Considerations .......................................... 191
4D.6  Optical Constants ....................................... 192
4D.7  Multilayer Thickness Specifications for Imaging and
      Condenser EUVL Mirrors .................................. 193
Acknowledgments ............................................... 197
References .................................................... 197

Chapter 5   EUV Optical Testing ............................... 205
            Kenneth A. Goldberg

5.1   Introduction ............................................ 205
5.2   Target Accuracy ......................................... 207
5.3   Techniques for Angstrom-scale EUV Wavefront
      Measurement Accuracy .................................... 208
5.4   Intercomparison ......................................... 218
5.5   Future Directions ....................................... 219
References .................................................... 222

Chapter 6A  Optics Contamination .............................. 227
            Saša Bajt

6A.1  Introduction ............................................ 227
6A.2  Fundamentals of Optics Contamination .................... 234
6A.3  Optics Contamination Control ............................ 241
6A.4  Summary and Future Outlook .............................. 250
References .................................................... 251

Chapter 6B  Grazing Angle Collector Contamination ............. 261
            Valentino Rigato

6B.1  Introduction ............................................ 261
6B.2  Collector Lifetime Status and Challenges ................ 269
6B.3  Summary ................................................. 281
Acknowledgments ............................................... 281
References .................................................... 281

Chapter 6C  Normal Incidence (Multilayer) Collector
            Contamination ..................................... 285
            David N. Ruzic and Shailendra N. Srivastava

6C.1  Introduction ............................................ 285
6C.2  Overview of Normal-Incidence Collector Mirrors .......... 287
6C.3  Collector Performance ................................... 290
6C.4  Summary ................................................. 317
Acknowledgments ............................................... 318
References .................................................... 318

Chapter 7   EUV Mask and Mask Metrology ....................... 325
            Han-Ku Cho and Jinho Ahn

7.1   Introduction ............................................ 326
7.2   EUV Mask Structure and Process Flow ..................... 327
7.3   Mask Substrate .......................................... 328
7.4   Mask Blank Fabrication .................................. 332
7.5   Absorber Stack and Backside Conductive Coating .......... 349
7.6   Mask Patterning ......................................... 355
7.7   Mask Cleaning ........................................... 361
7.8   Advanced Mask Structure ................................. 363
7.9   Summary and Future Outlook .............................. 372
Acknowledgments ............................................... 373
References .................................................... 373

Chapter 8   Photoresists for Extreme Ultraviolet
            Lithography ....................................... 383
            Robert L. Brainard

8.1   Introduction ............................................ 384
8.2   Earliest EUV Resist Imaging ............................. 385
8.3   Absorption Coefficients of EUV Photoresists ............. 391
8.4   Multilayer Resists and Pattern Transfer ................. 394
8.5   Resist Types ............................................ 403
8.6   PAGs and Acids .......................................... 426
8.7   Line Edge Roughness ..................................... 428
8.8   Summary and Future Outlook .............................. 435
Acknowledgments ............................................... 435
References .................................................... 437

Chapter 9   High-Resolution EUV Imaging Tools for Resist
            Exposure and Aerial Image Monitoring .............. 449
            Malcolm Gower

9.1   Introduction ............................................ 450
9.2   EUV Tool Design Considerations .......................... 450
9.3   EUV Microstepper ........................................ 453
9.4   Reticle Imaging Microscope .............................. 470
9.5   Summary and Future Outlook .............................. 488
Acknowledgments ............................................... 490
References .................................................... 490

Chapter 10  Fundamentals of EUVL Scanners ..................... 493
            Kazuya Ota

10.1  Introduction ............................................ 494
10.2  Illumination Optics ..................................... 494
10.3  Projection Optics ....................................... 498
10.4  Stages .................................................. 502
10.5  Sensors ................................................. 506
10.6  Handling Systems ........................................ 508
10.7  Vacuum and Environment System ........................... 508
10.8  Budgets ................................................. 509
10.9  Summary ................................................. 511
Acknowledgments ............................................... 511
References .................................................... 512

Chapter 11  EUVL System Patterning Performance ................ 515
            Patrick Naulleau, John E. Bjorkholm, and Manish
            Chandhok

11.1  Introduction: The Benefits of EUV Imaging ............... 516
11.2  Imaging with the 0.1 -NA ETS Optic ...................... 517
11.3  Imaging with the 0.3-NA MET Optic ....................... 530
11.4  System Contributors to Line Edge Roughness .............. 539
11.5  Flare in EUVL Systems ................................... 551
11.6  Summary ................................................. 576
Acknowledgments ............................................... 577
References .................................................... 577

Chapter 12  Lithography Cost of Ownership ..................... 585
            Phil Seidel

12.1  Cost of Ownership Overview .............................. 586
12.2  Lithography: Historical Cost and Price Trends ........... 599
12.3  Major Lithography CoO Parameter and Productivity
      Drivers ................................................. 604
12.4  General Observations on Lithography Cell and CoO
      Improvements (Past Decade) .............................. 622
12.5  CoO Considerations for Future Lithography
      Technologies ............................................ 629
12.6  Summary ................................................. 635
12.7  Appendix: Example Case Studies of Lithography CoO
      Calculations ............................................ 635
Acknowledgments ............................................... 638
References .................................................... 639

Appendix  Reference Data for the EUV Spectral Region .......... 643
          Eric M. Gullikson and David Attwood

  A.1 Introduction ............................................ 643
      Table A.1 Optical constants and absorption data for
                extreme ultraviolet wavelengths ............... 643
      Table A.2 Physical constants ............................ 652
      Table A.3 Electron binding energies in electron volts
                for the elements in their natural forms ....... 653
      Table A.4 Photon energies in electron volts of 
                principal K- and L-shell emission lines ....... 659
  References .................................................. 663

Index ......................................................... 665


Архив выставки новых поступлений | Отечественные поступления | Иностранные поступления | Сиглы
 

[О библиотеке | Академгородок | Новости | Выставки | Ресурсы | Библиография | Партнеры | ИнфоЛоция | Поиск]
  Пожелания и письма: branch@gpntbsib.ru
© 1997-2024 Отделение ГПНТБ СО РАН (Новосибирск)
Статистика доступов: архив | текущая статистика
 

Документ изменен: Wed Feb 27 14:21:38 2019. Размер: 17,196 bytes.
Посещение N 1880 c 16.11.2010